Options:
-DEFINE <arg> -- Defines a macro
-FILE <arg> -- Load command line arguments from <arg>rmation
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-NOSTDOUT -- Turn off output to screen
-NOWARN <arg> -- Disables printing of the specified warning message
Examples:
-- To compile all the modules in source.v
% ncvlog source.v
-- To compile with informative messages
% ncvlog -messages source.v
2. ncelab
ncelab [options] [lib.]cell[:view]
Options:
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-NOSTDOUT -- Turn off output to screen
-TIMESCALE <arg> -- Set default timescale on Verilog modules.
-ACCESS <arg> -- Set default access visibility. {+rwc} turn on read/write/connectivity.
-FILE <arg> -- Load command line arguments from <arg>
-LOADPLI1 <arg> -- Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application
-MAXDELAYS -- Selects maximum delays for simulation
-MINDELAYS -- Selects minimum delays for simulation
-TYPDELAYS -- Selects typical delays for simulation
-NONEG_TCHK -- Disallow negative values in SETUPHOLD & RECREM timing checks
-NONOTIFIER -- Notifiers are ignored in timing checks.
-NOSPECIFY -- Don't execute timing checks, ignore path delays and skip SDF annotations.
-NOTIMINGCHECKS -- Don't execute timing checks
-NOWARN <arg> -- Disables printing of the specified warning message
-NO_TCHK_MSG -- Turn off timing check warnings
-SDF_NO_WARNINGS -- Do not report SDF warnings
Examples:
-- To elaborate my_lib.top:behav
% ncelab my_lib.top:behav
% ncelab my_lib.top
% ncelab top
-- To elaborate with informative messages
% ncelab -messages my_lib.top:behav
3. ncsim
ncsim [options] [lib.]cell[:view]
Options:
-FILE <arg> -- Load command line arguments from <arg>
-GUI -- Enter window mode before running simulation
-INPUT <arg> -- Script to be executed during initialization
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-NONTCGLITCH -- Suppress delayed net glitch suppression messages
-NOSTDOUT -- Turn off output to screen
-NOWARN <arg> -- Disables printing of the specified warning message
-SDF_NO_WARNINGS -- Do not report SDF warnings
Examples:
-- To simulate the snapshot my_lib.top:snap
% ncsim my_lib.top:snap
% ncsim my_lib.top
% ncsim top
-- To simulate while writing to the log file ./ncsim.log
% ncsim -log ./ncsim.log my_lib.top:snap
-- To update the snapshot my_lib.top:snap and simulate
% ncsim -update my_lib.top:snap
4. ncverilog
ncverilog [all valid Verilog-XL arguments]
Supported Dash options:
-f <filename> Read host command arguments from file
Supported plus options:
+access+<rwc> Turn on Read, Write and/or Connectivity Access
+define+<macro> Define a macro from commandline
+loadpli1=<arg> Specify the library_name:boot_routine(s) to dynamically load a PLI1.0 application from commandline
+maxdelays Selects maximum delays for simulation
+mindelays Selects minimum delays for simulation
+typdelays Selects typical delays for simulation
+ncdumpports_format+<arg> Specify EVCD format flag for $dumpports
+neg_tchk Allow negative values in SETUPHOLD & RECREM timing checks (default)
+noneg_tchk Disallow negative values in SETUPHOLD & RECREM timing checks
+nocopyright Suppresses printing of copyright banner
+no_notifier Notifiers are ignored in timing checks
+nosdfwarn Do not report SDF warnings
+nospecify Suppresses timing checks and path delays in specify blocks.
Ignore SDF annotations.
+nostdout Turn off output to screen(terminal)
+notchkmsg Turn off timing check warnings
+notimingcheck Don't execute timing checks
+nowarn+<arg> Disables printing of the specified warning message
+sdf_nowarnings Do not report SDF warnings
5. ncsdfc
ncsdfc [options] sdf_file
Options:
-COMPILE -- Compile the specified SDF files (default)
-DECOMPILE -- Decompile the specified SDF files
-LOGFILE <arg> -- Specifies the file to contain log information
-MESSAGES -- Specifies printing of informative messages
-NOCOPYRIGHT -- Suppresses printing of copyright banner
-OUTPUT <arg> -- Redirects compiled SDF output to the specified file
-WORSTCASE_ROUNDING -- Truncate min delays, round max delays up
Examples:
-- To compile an SDF file:
% ncsdfc dcache.sdf
Creates compiled SDF file dcache.sdf.X
-- To specify a name for the compiled SDF file:
% ncsdfc ipipe.sdf -OUTPUT ipipe.compiled
Creates compiled SDF file ipipe.compiled
-- To decompile a compiled SDF file:
% ncsdf -DECOMPILE ebox.sdf.X
Creates decompiled SDF file ebox.sdf.X.sdfd
-- To specify a name for the decompiled SDF file:
% ncsdfc -DECOMPILE ebox.sdf.X -OUTPUT ebox.sdfd
Creates decompiled SDF file ebox.sdfd
6. nchelp
nchelp [options] tool error
nchelp [-cdslib | -hdlvar]